Thursday, November 28, 2019

Boy in the Striped Pyjamas free essay sample

A sense of belonging in place is a chief aspect explored in The Boy in the Striped Pajamas. Bruno’s feelings of affinity with the neighborhood he grew up in is made evident from the beginning, through a scene of him and his friends running through the streets of Berlin with their arms out and making aeroplane noises. A high angle shot is used and the boys’ winding movements are mimicked by a tracking shot which serves to emphasis the carefree nature of childhood innocence and their obliviousness to the horrors occurring elsewhere.Bruno’s playfulness and exuberant facial expression denotes the sense of belonging he feels with his friends as well as the place in which he is playing. Gentle piano music accompanies this scene which exudes a sense of calm through its soaring, uplifting melody and resonant tone to suggest how much Bruno is enjoying his game. A short while later, the music takes on an increasingly precarious tone when Nazi officers are shown gathering Jewish families and roughly herding them into the back of trucks. We will write a custom essay sample on Boy in the Striped Pyjamas or any similar topic specifically for you Do Not WasteYour Time HIRE WRITER Only 13.90 / page The young boys, due to their protective barrier of naivety and innocence, fail to acknowledge the true nature behind such events. The physically aggressive manner with which the Jews are being treated suggests they are not wanted in that area and consequently would experience a sense of alienation and rejection. The sense of security which was initially portrayed is now contrasted through this scene via effective juxtaposition and also serves to ominously imply that this sense of belonging Bruno feels is only temporary.The notion of familial affinity as a source of belonging is illustrated through Bruno’s interactions with his family. He is well-loved by his mother and father as shown through their genuinely affectionate and caring gestures and use of endearments such as â€Å"sweetheart† and â€Å"lamb†. However, it is the older sister with whom he experiences conflict with as shown through one of his many retorts: â€Å"You’re only twelve, so stop pretending you’re any older. In a particular scene where Bruno’s parents are informing him that they will be moving to the countryside due to the father’s promotion, the notion that he does not belong in his family as much as it appears is created through positioning. Bruno is seated across a la rge mahogany table from the rest of his family whilst they are speaking to him with a considerable gap between them. This positioning highlights the sense of isolation Bruno feels with relation to his family and is also metaphorical for the beginning stages of a chasm within the family which will continue to grow as the story progresses. Whilst Bruno’s family all seem enthusiastic about the move, as shown when the sister comments, â€Å"We’ll make new friends where we’re going†, Bruno seems to feel otherwise with his disheartened expression, and this becomes a basis on which he begins to feel that he does not belong. The atmosphere of belonging within the family which was strongly portrayed initially is gradually deteriorated as events unfold around the new home. The mother soon discovers that her husband’s promotion was in fact to oversee the progress of the nearby Auschwitz concentration camp, which included the mass killing, cremating and burial of hundreds of Jewish inmates.Despite the mother’s pleas to her husband, which are ignored, she suffers a series of breakdowns, as evinced through her lack of makeup, disheveled hair and general uninhibited behavior such as playing on Bruno’s swing and laughing to herself. Her initially kind and warm motherly words of affect ion towards her family become increasingly hostile: â€Å"The man I married is a monster! Even your own mother couldn’t love you. † The father, on the other hand, becomes a cold impassive man, hardened by work. The lighting in his office is dim and unforgiving, accurately reflecting the nature of the work that is done there. Furthermore, another figure in the family who contributes to the widening chasm is Bruno’s older sister, Gretel, who becomes increasingly brainwashed through anti-Semitic propaganda, as indicated through her nationalistic pride and constant denigration of the Jews: â€Å"They’re evil. Evil, dangerous vermin†¦they’re the enemy. † The petty conflicts between the two siblings at the beginning of the movie eventually escalate to a point where Gretel has virtually rejected Bruno as she considers herself a mature adolescent and Bruno a nuisance.This is demonstrated through the manner in which she speaks to him as well as the facial expressions she directs towards him such as constantly rolling her eyes: â€Å"Never, stupid. † All these factors ultimately lead to worsening and then altogether eliminating the sense of belonging that Bruno feels with his family. Friendship as a source of belonging is an integral concept explored in the film and it is mo st frequently demonstrated through Bruno’s relationship with a young Jewish boy of the same age who resides in the nearby concentration camp.In the scenes where Bruno visits Schmuel, no particular special effects are used to portray the concentration camp in a negative light and the simplicity of these scenes with a medium shot effectively serves to highlight the importance of friendship despite the morbid surroundings. Bruno grows to like Schmuel and plays with him everyday despite being bombarded with continuous streams of anti-Semitic opinion: â€Å"You see, these people†¦ the Jews, are not really people at all†.In the scene where Bruno and Schmuel are playing checkers across a barbed wire fence, a non-diegetic piano melody accompanies which emphasizes that the sense of belonging in friendship can offer an alternative way out of harsh reality, and that friendship is something to appreciate during rough times. In the last scene, the image of Bruno and Schmuel clasping hands just before they die is symbolic of the mutual sense of belonging they feel with each other, and how they still treasure it right down to the last second.The film, The Boy in the Striped Pajamas, consists of numerous cinematic techniques such as varying distances of shots, positioning and lighting as well as music to convey the message of belonging. As well, various aspects of the central notion of belonging is por trayed such as familial relationships, friendships and a sense of belonging with place through dialogue, choice of shot, positioning and appropriate music to accompany scenes.

Monday, November 25, 2019

Learning The Hard Way Essays - Free Essays, Term Papers

Learning The Hard Way Essays - Free Essays, Term Papers Learning The Hard Way Afer complenting my first two years of college in a tiny junior college in Kentucky, I enrolled in Illinois State University, confident that I would well, because I haad sone well in the past. The size of the place was a bit daunting. The first challenge was finding a parking space. Where before I had parked ion a tree-lined street and walked the short distance to the main calssroom building at Bthel junior College, now I was confronted with acres of parking spaces which seemed miles from the classroom buildings. Classes were not just up one hall or down the next, as I had grown increasingly oneous. Unike Southerners who greet everyone, including strangers, with Hey! people rarely spoke. On a campus of over 10,000 students, I felt completely salone. Years later, as a graduate student at increasingly larger schools, I recalled feelings overwhelmed by the size and (seeming) indifference of ISC. One defining moment got me off to a terrible start, adn I never recovered. During my very first class at Illinois, I walked into a classroom and took a desk among thirty other students. After the instructor, a thin, balding male, called roll, he looked up adn asked, Is there anyone whose name I didn't call? I put up my hand, and he walked over to my seat. What is your name? he asked, pencil poised to write. Cindy Horne, I replied. How do you spell that? he asked. H-a-w-e-r-n? No, sir. 'H-o-r-n-e, I replied. H-o-r-n-e? he repeated. Yes, sir. then your name is not'Hawern, he mimicked. Your name is 'Horne,' he said, barking it out in one short, explosive syllable, a way I had never heard. The other students laughed, and he turned and walked to the front of the class. But my face flushed deep scarlet, and my eyes dropped to my notebook. For a long time, I did not look up but fought back tears adn retreated somewhere inside a feeling that I was completely out of place. What might for him have merely been an innocent attempt at humor by poking fun at a Southerner to break the ice of the first-day jitters, deeply humiliated me. I must REALLY be stupid, I thought, to not even know how to pronounce my own name! Today, I would pronbley laugh. Then, I was deeply ashaned. I never finished class. I dropped out of the university before the term was over. i suddenly felt inadequate, and no amount of study restored my confiednce. Two years later, I returned to Kentucky, enrolled in a small liberal arts college, completed my bachelor's degree, and wernt on to become an art teacher. I had many good teachers, but I learned a great lesson from that insentive man with the roll book, a lesson which has helped shape my educaitonal philosophy. I am glad I learned that lesson, even if it was painful at the time. It's has made me a better person.

Thursday, November 21, 2019

New deveopment in textile industry - article summary

New deveopment in textile industry - summary - Article Example More glamorous applications include embedding gold nanoparticles into natural fabrics such as wool. The gold nanoparticles impart soft colours from pale soft greens, to browns and beiges, depending on the particle size and shape. These colours are stable, and may even provide some antibacterial properties to the fabrics, as an added bonus! Across the globe a tremendous amount of research is taking place in electrospinning techniques. The spun, polymer-based nanofibres can be loaded with different additives which could be nanoparticles, enzymes, drugs or catalysts. Some combinations can be antibacterial and sprayed on to wounds as a kind of healing web, others can be conductive or even form filters or membranes. Scientists are also working on nanoelectronic devices that can be embedded into textiles to provide special support systems for individuals in dangerous professions or sports. Some garments can now provide life-signs monitoring, internal temperature monitoring, chemical sensing and also power generation and storage to enable communication with the outside world. Garments with this kind of technology can be vital for the safety of say firefighters working in dangerous situations in isolation from their colleagues, or even for skiers or their rescuers to give early warning signs of hypothermia. In some establishments, research is ongoing into man-made nanofibres where clay minerals, carbon nanotubes or nanoparticulate metal oxides are used to impart new properties. These properties provide halogen-free, flame retardancy for a fabric, increased strength and shock-absorbency, heat and UV radiation stability, and even brighter colouration! Other work is ongoing in the very exciting area of inkjet printing onto textiles. This is opening up many possibilities, not just for the customised or localised printing of textiles to an individual

Wednesday, November 20, 2019

International sustainable business Essay Example | Topics and Well Written Essays - 1500 words

International sustainable business - Essay Example Over the years IBM is trying to make its products and services environmentally friendly. According to a report published by the Ceres investor Coalition in December 2008, IBM was ranked the top company for climate change strategy and practices among all major technology companies. IBM’s super computers were also ranked the most high speed and energy efficient in November 2008. Apart from these rankings, there are many other recognitions and awards given to IBM for being a true Green company. In 2009, IBM launched its â€Å"Ready for Energy and Environment† validation program for business partners. In this program, they had set criteria for business partners to make eco-friendly products. Only those partners who qualified the criteria partnered with them. IBM provides a Global Asset Recovery Service (GARS). It helps the consumers to easily dispose off unwanted technology. By this service, the waste does not pollute the environment. IBM has been reusing, remarketing and recycling computers for quite a long time now. IBM helps organizations to create a ‘green’ IT infrastructure by offering different products and services. IBM virtualization, Active Energy manager, IBM System z mainframe servers, IBM system x servers, and IBM Power systems are some of the products and services that it provides in order to improve power efficiency. IBM is no doubt the leading manufacturers of computing industry. But there are many other famous brands offering technology and computing services. Among the close competitors that IBM has, Hewlett Packard (HP) is one of the giants of technology. In the scenario of Green computing, HP is more advanced than IBM. According to CNNMoney.com, HP is ranked among the top 10 green giants- the only computer’s company in the list. HP has massive e-waste recycling plants, and it is trying to cut energy consumption more and more. HP’s own machines are 100 percent recyclable. They have various products that are

Monday, November 18, 2019

Discussion Questions Essay Example | Topics and Well Written Essays - 500 words - 6

Discussion Questions - Essay Example Islamic teachings of early Islam inclined towards the social ideals of a settled life in preference to the nomadic way of life of the troublesome Arab nomads. The great importance that Prophet Muhammad placed on a settled way of life (hijra), and the abandonment of the nomadic way of life in the process of embracing Islam formed the basis of this means to subdue the troublesome Arab nomads. According to Donner (1981), this enabled the early Islamic elites to draw support from these religious teachings in their attempts to subdue the potentially troublesome Arab nomads, as can be seen from this quote, when supplies were requested for the troublesome Arab nomads, â€Å"by God, I will not supply you until I have supplied the settled people [ahl al-ha-dira]†. (1) The early Islamic elites denied the troublesome Arab nomads’ high positions of power in the political set up, and in the army chain of command, even if their loyalty was not in doubt, as the second means to control the Arab nomads. This ostracizing of the nomadic tribes meant that in the eyes of the ruling elite, they were not fit to occupy such seats of power. This meant that only the settled people occupied these seats of power, and removed the possibility of the Arab nomads being able to use these seats of power to incite action on behalf of the Arab nomads. (1) The tax pattern that was introduced by the early Islamic elites in the opinion of Donner (1981), sought to ostracize the Arab nomads. While the Muslims leading a settled way of life had to pay alms or zakat, and the non-Muslims leading a settled way of life tribute, or tax called jizya, the nomadic tribes had to pay a tax called sadaqa. This differentiation suggests that though the nomadic Arabs, who had embraced the religion of Islam were not considered to the settled Muslimsm and could be extended to mean that they were not Muslims in the true sense. (1) The final means employed by the early Islamic elite in subduing the

Friday, November 15, 2019

Frequency Swept Source using Xilinx DDS Compiler

Frequency Swept Source using Xilinx DDS Compiler Abstract This paper presents a module which basically is a frequency swept source (chirp signal), which sweeps from 1MHz to 10 MHz in 10 microseconds. The module was designed by using the DDS IP core in Xilinx. It is designed to be run on the ZedBoard Zynq Evaluation and Development Kit (xc7z020clg484-1). Keywords-frequency swept source; chirp signal ; DDS Compiler; This module was designed as a part of the coursework assignment of ECE 580B4 FPGA Signal Proc/Software Defined Radio. The purpose of this assignment was to design a frequency swept source which will be extensively used in testing the Digital FIR filters that are to be designed and implemented as a part of the future coursework. A. DDS IP Core Compiler The Xilinx DDS core compiler implements high performance phase generation and phase to sinusoid circuits with AXI-4 stream compliant features. The core sources sinusoidal waveforms for use in many applications. This module comes with an inbuilt sine and cosine Look up table and a phase generator. For the purposes of this assignment, the DDS compiler was configured to receive a streaming phase input and the phase width resolution is set to 8 bits to make the design more space efficient and to make the simulations run faster. B. Frequency swept Source Design The Frequency Swept source is coded as a synthesizable Verilog module. In this Verilog Module, The DDS Compiler was instantiated and appropriate phase data is streamed by feeding the data into the s_axis_phase_tdata. s_axis_phase_tvalid is always set to 1 for the purposes of this assignment. The frequency swept source is expected to sweep from 1Mhz to 10 Mhz in 10 micro-seconds. This basically translates to an increment of 1Mhz in every microsecond. So, initially the increment has been calculated to generate a 1Mhz signal and then the increment is ramped up linearly to generate the desired chirp signal. The following expression has been used to derive the phase increment value. Fout = fclk* (dt)/ (2^(B)); Here, fout is the output frequency, fclk is the clock frequency, dt is the phase increment and B refers to the phase width. The above equation, for an 8bit phase width, 100 Mhz source to generate a 1Mhz output signal basically expects a phase increment of 2.56. But in this design this has been rounded off to 3. So, a phase increment of 3 refers to an output of 1Mz signal and a phase increment of 6 refers to an output of 2Mhz signal etc. The value of phase increment should realistically increment upto 25.6, so this number will be rounded off to 25. Now, is basically comes down to generating 22 different values for phase increment in a matter of 10 microseconds. In out design, the timescale has been set to 1ns. Now to figure out the delay to increase the value of phase increment in steps of 1, we need to impart a suitable amount of delay. This delay is calculated as shown below Delay = [10u/(22)] * 10^3 nano seconds. [Assuming a delay of one unit refers to one nano second]. The value of delay comes out to be 454.54 cycles. So, basically, we increase the value of phase-increment in steps of 1 from a starting value of 3 after every 455 clock cycles. This makes sure we are getting appropriate ramping up of the phase increment value to generate the desired sweep.   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   module freq_sweep(  Ã‚  Ã‚  Ã‚   input clk,   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   output reg [7:0] sin,   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   output reg [7:0] cos   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   );   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   reg[7:0] phase_data;   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   wire phase_valid;   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   wire [15:0] nco_data;   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   wire nco_valid;   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   integer i;   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   assign phase_valid =1;   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   initial begin   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   phase_data = 3;   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   end   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   dds_compiler_0 DUT(  Ã‚   .aclk(clk),   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   .m_axis_data_tdata(nco_data),   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   .m_axis_phase_tvalid(nco_valid),   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   .s_axis_phase_tvalid (phase_valid),   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   .s_axis_phase_tdata (phase_data)   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   );   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   always @(posedge clk) begin   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   if (phase_valid == 1) begin   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   sin = nco_data [15:8];   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   cos = nco_data [7:0];   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   end   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   end   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   always begin   Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚  Ã‚   for (i=3; i

Wednesday, November 13, 2019

orwells political message to the world Essay -- essays research papers

Many authors bring in the theme of politics into their work in order to make their creations more appealing and as a form of expressing their personal views. George Orwell’s â€Å"Nineteen Eighty-four† is a novel that contains many political messages to the world. Orwell felt that part of his role as a writer is to serve as a voice of conscience to our society by trying to express the truth as he saw it. The novel was written in a crucial time period in modern history after the Second World War and at the beginning of the Cold War. One can see that the book was influenced by current events of its time mixed with Orwell’s standpoint. He focuses on three major political issues that effect society, which are the dangers of war, class differences and dictatorship. Orwell was trying to show how certain political systems could affect our society by working for only selected few’s interests and suppressing individual freedom. â€Å"1984† was written in a realistic way of the â€Å"worst case scenario† in order to warn people of what may happen if certain dangerous political ideologies gain or re-gain power. One of the most important political issues Orwell addresses in â€Å"1984† is the idea that war is bad. He does so by showing how the living conditions described in Oceania are a cause of the war. When it was reported that Oceania was winning, the citizens believed they would get benefits from it, which they did not. Orwell was pointing out the disadvantages of war that the poor life style was blamed on of the war effort. By emphasizing that he was showing that standard of living goes down during war for all people. The three powers Eurasia, Eastasia, and Oceania, are in a constant state of war with one another, yet all are self-contained and require no trade with one another, and therefore are not using war for economic needs. Because they have no economic reason, it can be suggested that Orwell was criticizing another popular reason to go into war (especially in WWII), nationality, and believed it should not be a justifiable reason for war. Goldstein’s book explained that the powers were in a constant state of war so the people will be too preoccupied with the war effort to worry about whether or not the present political system is working. The government distracts the people by constantly reminding them that when they win the war, Oceania will rule the world, and life will be ... ...s its own people (they are merely "cheap labour") One of the major issues in 'Nineteen Eighty-four' is the nature of freedom and the way that Totalitarianism has the capacity to destroy it. Winston's comment in his diary that "Freedom is the freedom to say that two plus two makes four" encapsulates Orwell's belief that the individual must have the right to make up his own mind, regardless of official political party lines. The Party is a totalitarian government When the propaganda, deprivation, and rigid guidelines fail to convert someone to Party doctrine (INGSOC), the government uses torture to brainwash citizens. The fact that the Party must turn Winston into a walking zombie to finally crush his inner-revolt, reveals the Party’s ultimate frailty. Since the principles of INGSOC fail to inspire thinking people like Winston, the Party has no choice but to use extreme force and coercion to stay in power. Orwell calls upon his readers to recognize the evil and frailty of the Party and fight to prevent the spread of totalitarianism. While Orwell does not advocate for a specific alternative system, undercurrents of Socialism, Democracy, and Capitalism pervade.